Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

iverilog-12.0-1.mga9 RPM for armv7hl

From Mageia Cauldron for armv7hl / media / core / release

Name: iverilog Distribution: Mageia
Version: 12.0 Vendor: Mageia.Org
Release: 1.mga9 Build date: Sat Dec 31 18:28:19 2022
Group: Development/Other Build host: localhost
Size: 6513586 Source RPM: iverilog-12.0-1.mga9.src.rpm
Packager: martinw <martinw>
Url: http://iverilog.icarus.com/
Summary: Icarus Verilog is a Verilog compiler and simulator
Icarus Verilog is a Verilog compiler that generates a variety of
engineering formats, including simulation. It strives to be true
to the IEEE-1364 standard and supports a subset of the IEEE-1800
standard.

Provides

Requires

License

GPLv2

Changelog

* Sat Dec 31 2022 martinw <martinw> 12.0-1.mga9
  + Revision: 1928435
  - new version v12.0
* Fri Mar 18 2022 umeabot <umeabot> 11.0-2.mga9
  + Revision: 1797829
  - Mageia 9 Mass Rebuild

Files

/usr/bin/iverilog
/usr/bin/iverilog-vpi
/usr/bin/vvp
/usr/include/iverilog
/usr/include/iverilog/_pli_types.h
/usr/include/iverilog/acc_user.h
/usr/include/iverilog/ivl_target.h
/usr/include/iverilog/sv_vpi_user.h
/usr/include/iverilog/veriuser.h
/usr/include/iverilog/vpi_user.h
/usr/lib/.build-id
/usr/lib/.build-id/14
/usr/lib/.build-id/14/e707133be9973719491a8262870253cf8a2483
/usr/lib/.build-id/1f
/usr/lib/.build-id/1f/71c0c5f670b67443edb9a77d527a948117c367
/usr/lib/.build-id/22
/usr/lib/.build-id/22/739489e09548c01cca2337ff84d60d85472061
/usr/lib/.build-id/27
/usr/lib/.build-id/27/12e236c0dcafa1872ef6f425d83bd6b22d1217
/usr/lib/.build-id/3a
/usr/lib/.build-id/3a/f88fec9b92a3622786eb3bad1a4a166fb44956
/usr/lib/.build-id/4d
/usr/lib/.build-id/4d/142d0c18d93ccee98dea4dae7d333cfc1ffe96
/usr/lib/.build-id/57
/usr/lib/.build-id/57/fb7de4dd0b81e3cdeabeced75691db11d5fc7d
/usr/lib/.build-id/84
/usr/lib/.build-id/84/36e354ec8944c30dd3419250187740f5cd5adf
/usr/lib/.build-id/8c
/usr/lib/.build-id/8c/ea44ee67ad184441bbe3b114b95bf4ee7d9a0b
/usr/lib/.build-id/8e
/usr/lib/.build-id/8e/a9cb5c09e9bcd481faa8e54b1766bffd9ca489
/usr/lib/.build-id/a7
/usr/lib/.build-id/a7/1ec939407607fac16a18a4bec5d5a653446d9b
/usr/lib/.build-id/bb
/usr/lib/.build-id/bb/984a807c3e83470f6693cb623f7b3d0598098b
/usr/lib/.build-id/be
/usr/lib/.build-id/be/976a6163850306a7f373693807f366d5c3cf30
/usr/lib/.build-id/c2
/usr/lib/.build-id/c2/93bf42e47f536c351e1210d83540af97531e5f
/usr/lib/.build-id/c4
/usr/lib/.build-id/c4/ce2eae3b7200b6ec8bb1c513db997473512b85
/usr/lib/.build-id/dc
/usr/lib/.build-id/dc/62f8d320538238be08dcad6eb80cc5c70fb2a8
/usr/lib/.build-id/e2
/usr/lib/.build-id/e2/9c74feb90b3b4146a9653f1bdb0021db20e1b3
/usr/lib/.build-id/f2
/usr/lib/.build-id/f2/555a3b5457a9c129847f98f0aa9c918fd809b1
/usr/lib/.build-id/f7
/usr/lib/.build-id/f7/769cf27b70474377eb7bd6a60bfb57421d2b8f
/usr/lib/.build-id/f9
/usr/lib/.build-id/f9/4b2fa12ee6a3ec2ff27f9cc5a8dddcaf95d0f5
/usr/lib/.build-id/fe
/usr/lib/.build-id/fe/ece4c2092a11f20ec9c20db6803d594980544f
/usr/lib/ivl
/usr/lib/ivl/blif-s.conf
/usr/lib/ivl/blif.conf
/usr/lib/ivl/blif.tgt
/usr/lib/ivl/cadpli.vpl
/usr/lib/ivl/include
/usr/lib/ivl/include/constants.vams
/usr/lib/ivl/include/disciplines.vams
/usr/lib/ivl/ivl
/usr/lib/ivl/ivlpp
/usr/lib/ivl/null-s.conf
/usr/lib/ivl/null.conf
/usr/lib/ivl/null.tgt
/usr/lib/ivl/pcb-s.conf
/usr/lib/ivl/pcb.conf
/usr/lib/ivl/pcb.tgt
/usr/lib/ivl/sizer-s.conf
/usr/lib/ivl/sizer.conf
/usr/lib/ivl/sizer.tgt
/usr/lib/ivl/stub-s.conf
/usr/lib/ivl/stub.conf
/usr/lib/ivl/stub.tgt
/usr/lib/ivl/system.vpi
/usr/lib/ivl/v2005_math.vpi
/usr/lib/ivl/v2009.vpi
/usr/lib/ivl/va_math.vpi
/usr/lib/ivl/vhdl-s.conf
/usr/lib/ivl/vhdl.conf
/usr/lib/ivl/vhdl.tgt
/usr/lib/ivl/vhdl_sys.vpi
/usr/lib/ivl/vhdl_textio.vpi
/usr/lib/ivl/vhdlpp
/usr/lib/ivl/vlog95-s.conf
/usr/lib/ivl/vlog95.conf
/usr/lib/ivl/vlog95.tgt
/usr/lib/ivl/vpi_debug.vpi
/usr/lib/ivl/vvp-s.conf
/usr/lib/ivl/vvp.conf
/usr/lib/ivl/vvp.tgt
/usr/lib/libveriuser.a
/usr/lib/libvpi.a
/usr/share/doc/iverilog
/usr/share/doc/iverilog/BUGS.txt
/usr/share/doc/iverilog/COPYING
/usr/share/doc/iverilog/README.md
/usr/share/doc/iverilog/attributes.txt
/usr/share/doc/iverilog/cadpli.txt
/usr/share/doc/iverilog/examples
/usr/share/doc/iverilog/examples/clbff.v
/usr/share/doc/iverilog/examples/des.v
/usr/share/doc/iverilog/examples/hello.vl
/usr/share/doc/iverilog/examples/hello_vpi.c
/usr/share/doc/iverilog/examples/hello_vpi.vl
/usr/share/doc/iverilog/examples/outff.v
/usr/share/doc/iverilog/examples/pal_reg.v
/usr/share/doc/iverilog/examples/show_vcd.vl
/usr/share/doc/iverilog/examples/sqrt-virtex.v
/usr/share/doc/iverilog/examples/sqrt.vl
/usr/share/doc/iverilog/examples/xnf_add.vl
/usr/share/doc/iverilog/examples/xram16x1.v
/usr/share/doc/iverilog/extensions.txt
/usr/share/doc/iverilog/fpga.txt
/usr/share/doc/iverilog/glossary.txt
/usr/share/doc/iverilog/ieee1364-notes.txt
/usr/share/doc/iverilog/netlist.txt
/usr/share/doc/iverilog/swift.txt
/usr/share/doc/iverilog/t-dll.txt
/usr/share/doc/iverilog/va_math.txt
/usr/share/doc/iverilog/vpi.txt
/usr/share/doc/iverilog/xilinx-hint.txt
/usr/share/man/man1/iverilog-vpi.1.xz
/usr/share/man/man1/iverilog.1.xz
/usr/share/man/man1/vvp.1.xz


Generated by rpm2html 1.8.1

Fabrice Bellet, Thu Apr 25 04:38:51 2024